GettyImages 1145937007 de1cc4f2bf684d81b9f31ff8808026c2

Tärkeimmät oppimispisteet

  • Asiantuntijat vaativat kansallisia investointeja sirujen valmistukseen, jotta käyttäjät voivat saada kaiken pelikonsoleista autoihin.
  • Eräs yritys sanoi näkevänsä tablettien ja puhelimien kysynnän kasvun, johon valmistajat eivät pysty vastaamaan.
  • Intel ja muut yritykset ovat ilmoittaneet suunnitelmistaan ​​rakentaa uusia puolijohdevalimoita Yhdysvaltoihin.
Tietokonesirujen pula on johtanut pulaan kaikesta pelikonsoleista autoihin. Jotkut asiantuntijat uskovat, että suuremmat kansalliset investoinnit sirujen valmistukseen voisivat ratkaista ongelman. Laki, joka tunnetaan nimellä CHIPS for America Act, esiteltiin viime vuonna, jotta saataisiin kannustimia puolijohdeteollisuuden tutkimuksen mahdollistamiseksi ja toimitusketjujen turvaamiseksi. Helmikuussa presidentti Biden allekirjoitti toimeenpanomääräyksen, joka sisältää puolijohteiden toimitusketjujen mahdollisten riskien arvioinnin. Mutta jotkut tarkkailijat sanovat, että enemmän on tehtävä. «Yhdysvaltain hallitus ei voi tehdä mitään seuraavan kuuden kuukauden tai vuoden aikana tämän helpottamiseksi», autoteollisuuden käyttöliittymäsuunnitteluyrityksen Altian toimitusjohtaja Mike Juran sanoi videohaastattelussa. «Näiden laitosten saattaminen käyttöön on melko monimutkaista. Tarvitsemme pitkän aikavälin strategian.»

Pandemia lisää elektroniikan kysyntää

COVID-19 laukaisi ketjureaktion, kun tietyt markkina-alueet, kuten autoteollisuus, ennustivat tuotteidensa kysynnän laskua, Syed Alam, konsulttiyritys Accenturen puolijohteiden maailmanjohtaja, sanoi sähköpostihaastattelussa. «Valmistajat vähensivät sirujen kysyntää vastaavasti, ja niiden vapautuneen kapasiteetin lunastivat nopeasti muut markkinat, jotka ennakoivat huippukysyntää, kuten tietokoneet ja kulutuselektroniikka», hän lisäsi. «Joidenkin LCD-näyttöjen, erityisesti kannettavien ja iPadien, kysyntä on kasvanut huimasti viimeisen vuoden aikana, kun koulut ja yritykset ympäri maailmaa ovat muuttuneet täysin virtuaalisiksi.» Sirupula tuntuu kaikkialla, missä ihmiset ostavat laitteita. Yli 20 000 laitetta vuosittain korjaava Jet City Device Repair näkee yhä enemmän asiakkaita, jotka pyytävät kaikkea tableteista älypuhelimiin. «Joidenkin LCD-näyttöjen, erityisesti kannettavien ja iPadien, kysyntä on noussut pilviin viimeisen vuoden aikana, kun koulut ja yritykset ympäri maailmaa ovat muuttuneet täysin virtuaalisiksi», sanoi Matt McCormick, yhtiön toimitusjohtaja sähköpostihaastattelussa. «Esimerkiksi noin 25 miljoonaa 50 miljoonasta amerikkalaisesta opiskelijasta oli osa 1-to-1-laiteohjelmaa pandemiaa varten. Nykyään luku on lähes 100 %.»

USA on jäljessä sirujen valmistuksessa

Yhdysvallat on kuromassa kiinni eräiden Aasian maiden kanssa siruvalmistuksessa. Yksi puolijohdetehdas maksaa 10-20 miljardia dollaria, Pohjois-Carolina-Greensboron yliopiston professori Nir Kshetri totesi sähköpostihaastattelussa. «Jonkinlainen julkinen tuki on kriittistä tämän alan kehittämiselle», hän lisäsi. «Tärkeä oppitunti puolijohdevalmistuksessa menestyneiltä talouksilta, kuten Taiwanilta ja Etelä-Korealta, on, että valtion tuki on ollut avainasemassa tämän teollisuuden kasvussa. Viimeisten kahden vuosikymmenen aikana kiinalaiset siruvalmistajat ovat saaneet 50 miljardia dollaria valtiontukia. «Intel ja muut yritykset ovat ilmoittaneet suunnitelmistaan ​​rakentaa uusia puolijohdevalimoita Yhdysvaltoihin tai laajentaa olemassa olevia tilojaan, puolijohdeyhtiö SiFiven globaali viestintäpäällikkö James Prior sanoi sähköpostihaastattelussa.

«Tämä tehdään Yhdysvaltain hallituksen sekä suurten asiakkaiden pyynnöstä, jotka luottavat puolijohteisiin tuotteidensa tehonlähteenä», hän lisäsi. «SiFive tekee yhteistyötä suurten valimoiden kanssa tarjotakseen IP-osoitteita ja palveluita, jotka mahdollistavat uusien, uusiin työkuormiin optimoitujen mallien nopeamman markkinoille tulon. Valimon rakentaminen on kallista ja aikaa vievää ja kestää useita vuosia. Valmistele ja aloita tuotanto.» Juran sanoi tukevansa pyrkimyksiä sijoittaa kymmeniä miljardeja dollareita teollisuuden käynnistämiseksi Yhdysvalloissa. «On paljon tehtaita hajallaan eri puolilla maata, jotka voimme käynnistää uudelleen tai rakentaa», hän lisäsi. «Colorado Springsillä on Intelin tehdas, joka rakennettiin ja joka ei koskaan tullut verkkoon. Se tulee kalliiksi, mutta sijoitetun pääoman tuotto on korkea.» Siruvalmistajien toimiminen Yhdysvalloissa voi auttaa myös logistisissa haasteissa. Tuotannon tuominen lähemmäksi kohdemarkkinoita voi lyhentää toimituslinjoja ja läpimenoaikoja, Prior sanoi. «Monet pullonkaulat tai viiveet, jotka johtuvat lähetysten hitaamasta käsittelystä (vähemmän veneitä, korkealuokkaiset lentorahtihinnat, vähentynyt raivauskapasiteetti, vähemmän suuria asennuksia tavaroiden siirtämiseen), voidaan vähentää», hän lisäsi.

Por Markus